From 8fc0ae36300e3c49f5c7294b4bde27bf32016755 Mon Sep 17 00:00:00 2001 From: Mike Welsh Date: Thu, 10 Oct 2019 20:16:20 -0700 Subject: [PATCH] tests: Add swf tests for PlaceObject3 image tag --- swf/src/test_data.rs | 30 ++++++++++++++++++++++++++ swf/tests/swfs/PlaceObject3-Image.fla | Bin 0 -> 25357 bytes swf/tests/swfs/PlaceObject3-Image.swf | Bin 0 -> 19454 bytes 3 files changed, 30 insertions(+) create mode 100644 swf/tests/swfs/PlaceObject3-Image.fla create mode 100644 swf/tests/swfs/PlaceObject3-Image.swf diff --git a/swf/src/test_data.rs b/swf/src/test_data.rs index 3b02c2003..dc9cdb03b 100644 --- a/swf/src/test_data.rs +++ b/swf/src/test_data.rs @@ -2181,6 +2181,36 @@ pub fn tag_tests() -> Vec { TagCode::PlaceObject2, ), ), + ( + 11, + Tag::PlaceObject(Box::new(PlaceObject { + version: 3, + action: PlaceObjectAction::Place(1), + depth: 1, + matrix: Some(Matrix { + translate_x: Twips::from_pixels(0.0), + translate_y: Twips::from_pixels(0.0), + rotate_skew_0: 0f32, + rotate_skew_1: 0f32, + scale_x: 1.0f32, + scale_y: 1.0f32, + }), + color_transform: None, + ratio: None, + name: None, + clip_depth: None, + class_name: None, + filters: vec![], + background_color: None, + blend_mode: BlendMode::Normal, + clip_actions: vec![], + is_image: true, + is_bitmap_cached: false, + is_visible: true, + amf_data: None, + })), + read_tag_bytes_from_file("tests/swfs/PlaceObject3-Image.swf", TagCode::PlaceObject3), + ), ( 8, Tag::PlaceObject(Box::new(PlaceObject { diff --git a/swf/tests/swfs/PlaceObject3-Image.fla b/swf/tests/swfs/PlaceObject3-Image.fla new file mode 100644 index 0000000000000000000000000000000000000000..ee74c6b23917b54bd3e9875675a98c2e1446a6ff GIT binary patch literal 25357 zcmd?QhgXwL^ezekDK?sbbOZ#ErUFJf2m(??dZ^MnN)bq?7J3no4nZl>5+Yqn0F~Zb zg0z6N00BY^z1-mUJ7=A9*Sdef%}QB$XYXhB%YpWk`Va|yG7^##A`%TzOhs2)#naZ; z-QL4T)Zg6=ZZzdJ`G7VEuXUl6FV8$d@veJrprAl2J?!(()g{9}kBo%O%K4aA#{HJe z?(jKJM&-I~tgTPXR9#EPCG@(oq_Fhfm~`YBPlNQ6WwYv=(^pPyfE$B#OGUQXJMUVh z#XQh3Rh`{2XUkN(K3>)Sxc4!c*p|nUkz!!$jM7dq8>#jUzNBa_TWCbWR!tvXv>yts!ZJQAZuAO z$4IWQY8IJvxU+d8j=)|mSRXmuO3A}=#&4+BeuY0}ySDGK)|DSSiCLb)kEHvY-%uV> zGqNU)3mOVrZpG!TZ8;;UUjNL8V(#8va`XHZx#Y&FJTLo~si!QROP-11=_+HBXo0Zw z4@fQJ%%ijPH{YB(%JbG5cfS@UJ|?i0eswT?Wx{TquMs|r4F0$--fhz7d}7EIMuqWC z9=7o?3HNG+@7~)HQDq-k9w+7OSorX)`w(u+1&n|APA8Z$nrr`~fdfCv@DI666%23o zZHP9=>=|ggSVmstYieOMN!x=fak+1PUUeBE(G}|!xUs>b$yxZBdha834n4`ELYqvV zY1hyzC%~}6Sp*HzT5V{QP>m0pcw;fhMsqSyBDL5OTBfk47-&FMe@$Fa zyJy!uE5jhL_b244uW=0sfAfkt{YzI;%G+o6O6HbH+R66}Di(_evP#Lu$A+?MB!v@W zT*^27CDz)Ui&1vhu#VrP_?O9`Vi0t_Is=PK-MCdlpqX2Ni-A z=NVciS$rsc6@Wf3uHlB}#_$hh5^vGBT{t|*>ZhpkV5$DNAZ-T-$7z+(P?S6hj{PN= z;i5u&U2cltV3(^2Z3e-m<^$VC4fZ@z{&EYvYz{LX$N*Uo8TfqN%nq_zkByRVMl_RCT&Ak|+& z7h1^K{7%IlBvigR8&>%FB%#&(uyYEprJJHM6(WNVCi`!i{;&Br5+wYh9za4OLr+4& z_bKs^T#wK-Z%aSdZ<3z@q@hA71h%_8gdKR11^flbjLvF zk8jfnhkOl|%csFd&gy9iWA+_|R=B=x*>3uBs&s)j_qV6TsTg?U2?2EB6!-MU@*T|? zfvw|POnWK=O1&=WW2#h7;y-4JbSiNv&Zzo2;eJ2VW2j>{_k2%yaGH6(x#$*)fA=#} z$10#hrR2KuldOK2>2LZYGL>>1(*r_7iMUq1I%7swNs~#K+iQTRGpGH1dck|;-RfE? zj<+Shi=%fnDn<0}!@c5}7^$<9uk-YVn=Jy&9TzMIqUeuaMEe}I!mMJ!*OsKbc@<=bE;&N=}X z&xKyU`62q7cDCSU;^&>L)^Spy=-Y2|Qb(V8@D?951~GpvQv$9`_LI8E=m1v^G`S8m z6RgRUiaxHmntXdTwbA+}yO!h2?su6&wePvR>Ib~^7i6c@k-V8W^)*M2zj#5b2FPH` zh8hq!U+g2pTfg{m2U?Mcd2;`riw5PxuS>^Ymv+0QUyB`knfka*;zkwj2eE`a{<~Ta ztxP67io9hj$t%?Y9=d;_G%jNp#3yC$e6E9hEhL7CVMYL}E@op4eOi3oC;uvy$(+sB zMz7_hVitdHh~mfCXM+v+7@t3TnfUK#r(E47X9L0meKeTPl6L<8T5GP{wXXe5TxSNk zNJtonzW&13#?9H=N#EYb$JxWt`=6(qZs@Yf(O+O%c%BxG=8!L585v0`|IB-1OP0Do z8?>p+XZKiVzOoSmMpEw~TDEbM1J|c_vZV#b=F^EAqPD zdK8sqlyE?1B>$1&(7V1XJn~l$GZsE7E*iTI@Lm3Czd{qj*jq`+Cs{OBY6nen%MZZ7 zD7OuA3pej_r34G@ETQjZwnGMR&7nIV)?Kc6k-mh#;%0vO)?Qh;O2nqcpL>Zcg&9ym zTiHy#2x!|j;d=X_O_e%&d*i)HTXH_`mdMtd3aBd=s6?A`Q$IBKJAT9h-0FO?_eJ(V zj(vr$d3xNcS}1{%<7fTJu~OCb&@XAP3C$h~yC0(?UN=l&JcfjS^R5tL@VL(-DUqpP z5+Y-eQ0?b=c>*g`VU-FzHY{2m2%R9ccRtBjM%bBV$!Z-r&&e&Uxo*ZMfL-jB^F-KMKbp9dCZJA zm3+y1-S?<%Ta+;ipz*vIJUV+&K|jpq)F_g*R(J2Is(RU^eRB25+nj)nUnf&+21T@~ z$I=A&_q8`_4uLE+Q(sIHuQva(t5_U%CVQ=&C6)A1HkI+Kdq0&}fqT-6xzG{wCyK$0 z63da>boZ~xGK`3pU9lcWNi_h11J|U=rAM#28*L1iO z+`?)uUYt^~?Di&aIp?khHeVsMsYQy#boB@tnLUu-9*HH{8CVeQ~@q zT6F$JrnvzhoNGQ4+}K=ydOB`(cL~em#po+asF!mu{v2~frJYKgO1!*smPz84+Ovvp zZcO#RD8vQQcGf?YN1AhTZGE(M78tDW)4NkZj;LZ3q;l&D+hgmx5}8$Q2QxU*sPK?b zj+Tb7^QsFRvhjVszw?_yz^86+B05W)e%AVC?{@J`)m~>AXY**S5YlbIr@yf9vY+<= z^`q&riea07|ixk&KP~ zQqQ|Rd2LOrgr22UG{hUlN}0A(u@^UpaI$#z<4A)KGI);l8^qaxw%+R_^dl)T{# z(GKZ19$Jl|vC0_?NqN%=sL(=r5B()yDlLVsad}#mYUNc^OplfxMt0rQ=vB1H%lH zU0XhltcCP%gr>mIpTXk+j}Ue7Y+F;(&Qi*>2mc+ExE_I%;XlUd|> zSo231n~}*lDiu{9=);i{>;$b*cnW2Xl@D%vc=u}P+K)*K5Di^IT4m^JqCvK={G85g zZobTkC(tjXSpovPX}WuLqjKQ)oB|cFCbF|px?w4HNF%}~g@oS6u&NQ(3{o;J>S0A` z%koox3hw=N?}*e;I^{QzZJsi(OSz_peZdsBt{b2$UOhS%Y$ZuO>CD>u714=gb5cr&w({>nB;BS zm2e9fF4}zx2fi?7qFi|EzOsb9hrKZN;Tkax4zJ`t-Bv_*5F>cECcW0MZ=(*6hi0x0&3`k}9&c zKAX+aQlkFVW}D1t-*&T2evSjNI4J!HU>n1P+sGy+qdCD^GjRXYlT_ zp;P3t%^RGOvzRMC$SySw$(x!tEPWRkK0dt!^iK}O`ps1BXEl-PJwS1sOyA}Pfm$U%_%~%0&Q@zaVE0^+bsIm`J zb64mh?tT8(&spR;LA|7zO&Oo zKLD4*AVs`loX~8L9O4CtyzEv|+E27whaQ^8FJpZ@%RBQ8DCaM&Cm~-Z_XswFL(&L6 zSg9*2mll&y^-)cqmxK1jTvGutX4!qBrQEP3_il^_v0?$LIcV;e zQ_ln{7+hk^ckRI2V3?ZdH+-bi1o3L#*R>-wl}mNP110(5Rs2Lp1blnUSLXU%38$W3S{>N^x3~k&-IaInO_<%R6hiF_K^-xe}&cW12 zCpSOB*b$+T>$w5ZVSZ?b;$;%*2u)}O)^Q6t-{7i!ZAiI$q#r=g>5qsh47kai+%o_n?3MBtsVtSC46!J@v_=;oERcLT;2S^NXsYXc=APHp4N^^GsKAUEaEs_UGp8GG4g>wTq^5YflQd!-7bUD}*N11q6&Nr9zz zPiVWIDnbTo0$A#sHVI=;73@9Kc(iMO&U~~y_v?2gIomnj&B>5ovpNYQW=Bo>ySRFm zCmIs9HXv`SSGLZRgpNq6(dz&XClV-U7mgA8nKtTYCS5=&oP0}!q#;nO!dHP*8$CoZc9tfo`y@9 zLWMyrY+7Sx$-CdB+Ng7$;~&i5|6rPsO^cn$h88kHbuaynPNQ2UDKRbDU*s1EC)CnF zF!+c^OzdcT-eA1H2R3FQKYqRvREz2P$xfxh^CI`}@!4mzSrGgzF~PXWq>2UA`%kE@ zX#i~|l};AsM84*2-jX{6$uCMnq&4|JEX6rEbW8@oklQD2ISUWoDcS)DQsuL{&Mm0% zNvei#q|D` zrBdO20bSZT3Lls{6OnD1`}yvDwW@<9ET~hm4Dh5D%v7;c*{o1|bXc|p^ zBxu4k@jN490-SJTS&5q`Y)3Y25Lk`b^!$5tg@%cpPqMO#=S-ZY%9mQ8EmV;Y5cn;i zsYK*mILAeSLSqESg;4#3)bl+}8>93)OynL6_Xd5A0yT}vZ^&S(aI@RZNm)6gS_<$% z8zX;Wc^>VYcmIO61)aqD;b3`4=ZS{LTb+WC#aGp}zo;v?Y2y?FYJ0I}(!5T8wxJdm z@qW%8Z&m-5^V@%TLK~?OItWat^Hm-G`uCG*V+Vp?K!$fjVdnv8bnEF&;wZFYvq-2q zrzZ1OhF)`TWDs0s5zBU1@u`LNbE5->?OEPd$L!82^2_2bn8ku|a&iV8vH$jGvk(hg zHvy*9TP)az?-JBOM*g2RVUxyzn{5uuzb6BPrH0I1n`3{9Q#C1u4oW4|$vqpc=n3<2 zL^ZD&vgKyb5JBvQGKI#suLBUYb5#SjT)0$CIBgpnphoBh&D z926O1ukd%bk^J!H*6lDAK9QH41ycDQB`rBWVCF6}OEPk4c$vUY*Dm!l{x5y8HhcS* zIMLZ&tl0V;*>@xib5(=9gR|_s&WT>!{VcwkXU+?ikDOPRr8sM}K2ctF#02(Xbb=Gu z!Q4bFq3RF;b6gr8>Gzfmwx{{aK&}A@JP!~a_mEQ?;d#{x4*0wnc!ysoA=LxAuzl@> zGJ*RA!`$wmEixD3tg<_x{nRs}Rv(;Y$ag;Fcwfw5aK=L6+cJ@m{qcd3-JDk5yfYd`4k^WpfE^9fo z=pI|KO`%;=sXd>A<(A6aEo#8su*icudEb&ukIY?&3rf0}oTHs$CZs{l)oRk%|4LXF zIrspWx%|@ch+P{iD96GQD=|9hbau;lkGRU-X6W+L)y!Gi{WFtQ#&VYMuc+VRgAi?( z8h5Xph;QoPQ6yeyV5OxfWBI5~mx7VFUN=$iZC3itrX1IF90wH|?f;3zKr(xecBD=? zl@zF&H2y~sv8g#|tcsWGUkW^$-i;3+u9qj|kET%|+q2-@d6p|IK?f3PyGy$)Nh?H} z`Q^^1zvXCFoL?LsCNwrM}66 z7H-G>BYyt_`b5P&#cpSEWHQtCX})CqPbwm*j8R;KTq-6!duyf5pG#D%PwH_)#JGUx>B7+iaG$=I&{FV*nOAm*LV?Z)t`;M`%;xLnk4>3{5&4v*Iwbvt91 z%hJg>{R~>I4@?^}iAx((xlSx*0sK$#b*V-~!5iA~)sHU;?J2cN<8OMoq}w50 zk2xL%2-c(y3h`A32+7f218~nNbI+gUIA_97KJAobc3*QXOw2VdaoROH;U>1IHA1mk zMtGPvYWu6bnPf5zx+g!pO{e@DmlqLtom!=#lU^k0Hbi5evFeaJXGvF+C&mh{uo%|L zOr_G;Nvtz{1(McIhFg3SQT8k@=e+C6r61C+a%xtq+w7Md8g2Ja*f?lSfZMy2dAV6!5$$wF9Yh`WIM(){0L|^0wdZm z-&ie#R)s>)O?fAE`;Z1qEZ*&`+HwyPLkywTjQHK%-``Xj?>Y9bUS2XB2RU|t+unBg z)k24X5}iD+7h>W2=5a00o>c0LbX>|T{wG6Uo0J}l`6|T}#)fq(#A>W-S0?(VPWCvu zT0(2!H4Y_eT6fLwJ~Wk8b~Fb72PRwwQHN~R`7V4Gg|#~qnaPgsu5i;e_ydcm{&_Cx z1-d6e14bwCj#+MYLtA)RaGl+f>C{WdR8X7u$5jnCCW;}JLX*Z_=#L%xo>KFOp1Q`dIBz zwPf#T4+-~zqQVkM$L_Ai=0bE=4VZ-L1UP#AT>Pm?9pCGnCip%mu5R&B8KbtDCQX0M zvLnU_jl?0Gy4lN?iu-%}u1@0VpZG3I1W8BG@5C(hA%t_4dUIvC`1)>r#E^X0Nv0T(QHU=Z3TzMDoTunx%g5?9q> z6=_wFs%uSA&Vhc=TM5;HnZI?(Y#G$m?E7ap0DE_szja%l#lwfX|D_XbI@gdP9Da9)g4t% zUTDXCV_Fm05R02y@+loGABa3^Tz|XE`zY+2EV)j$f;NqIJToB+RDcxJVQuVPHORHd z8Qu}ur&?cXylUiSG}5K7B}r`k%UPi}bv`ewoIRbVqw#v{tHHb?rf68YDXROIc7F2h zu;lP*SuD9R5pQ661Kf6lW6Zk{udyy2*1}SBa%T9+vm-jgFei}QK01o#cDIRhp3ZmF z-q51c>7bVm?n`i)obiGq)Dc&7(!Zx-HNNgV$Utnz>23>zOg>27NJ!weE3sE#>92E= zS&P%Ygz!m4Z3;UD3qAU0Iczw}DYG$Pb1s3=8^K{*q zJ6HZN@vfUDSzlKm{Ss3+BWI;$^u3qJPQ8v){FBW+c2tmie`c~T64rE-?SRU)IEeBt z-uT)-HIuCG=+&9apBA+JXF;M=4unIU%r{92;J_>gA8v>KKFis~khurBV?>AbgZz$R zi!X&Q3f#a1$nHpkRiv4{$g3USO-`-5({#IOtx;8%m?yZG^4@s@gg>ji{WGhhxZ$ic zhG3bI_X%qK!i|cUmUV@B`2c)GZS!N#i0vq7qa5GSE#4@$3YVq2WmU=M+U1{}`3~Vh zcV?{!oB<(C2P$S(S#`gURR(7`s{%o}?dmKj-!(ty9I-Z2FR_52#Cqo5Bq69T(MiA+vc|HrX3o``=X?H@e(+qe>6#c z*t3XoX@5t}6~visy#H_OJv)2N=0w_Cz7cT}xCHgbkLsM|Ory@WH=hywz1^bfcQ^Z^izdqmQbm12xp$#W zHYQodXIj3x>)lbD=)R*^yD^Xcc>>rH(xmSvU|eZsNhsOWaF0iL`&-Vp%Y@f#ugr+K zoFzk&K1zyr=KujuYWL8h?#8!h7`Olww(;kSD zCWtradlO|fp*4=kySU*!6nM=qs;KYb;z0p68L4{mt2uzZ-DU$q4jWw6LFPD1%Ai4oVfXR0i-(m)}cLuH{xcIYhGtF4>OygP|Ruh zh0{?{5N1V9?ua=~VXy73b&!$Y+Qwo$6$HgWFgK0)hA1imw#-X{g#()Yjy4LM%s)Jq zSF|$!UwAQ~6MWB3EF$eJCowW!*x*yS{wBGNnei1rQh z9pBz5n3!u>=TzU&MI*U`qtR1-jT>l}uMw^Rv3i6!pE$Ja?VQqpQR6aTe@cr!`w%60 z0XYb3l-c{HQS$AsDP>%0O;3Z-U?L%|Iu1>|wUm30G8}xXIL&bg4d(t%+@)^1aCXiP zIC4mAx(K=k$f}`%BacD5bBh+qr_(!a$bh~5=wohcZENi2`eG$b468wJ=}lZECoe9` zISX!9wFC2;yhacTS=^|m(1jMCi2!6A{RGkk&BnffjC@Vf2)9jVwWi!=GE*Fp`j*8s z7cL4#5@SKzHvvrK)mY;!W0%^}S9(Qb_Gj4^Rz7D*XFN1e`5*ELa@tTRldYPGFD^537o%b`YOtV)`{*D)Y;j*OwCp2Wr7Xg8~AbE@}m`t;UDyn z7^#D}f|P2Nk>9Zo-nEL7cGsu2!B#$vCAY!$Wa+Y=RT&gXY?4pu(TuP>cjXh|^)MkL zy%9EiwBHZ|1iSo!Eyl{i$F}1whU@8Z@J3^W%nm0oc=`bi00cmaV~8|Ra2H$y*|qm* z!wNST`;@m~CX=05^H=L@#8yrohoPlB`%U$nP~Ym&O@_LI(xyI$HS@RwJM(dV_u;)~ zqx#~%8{L-awmT`_MDB5E+G%leVlm`4U;{YbWx@JkDX5Ad0=Nl*U zzN%woc&4W5XXh@0OiyNL#q^lwL>8QNH3&@jxbC@l$qs1W;-~?RFdCY{8Sz8}D-Iw6 z20KaAt5dDw@7#gCxR_!E2j{J=t+t;^ldf+|i`$*v{j|;QEaB>PJ~A>my{Yh$({xH5 zh+ZWB-sxo&xz5n#A77nW6A%QhWL$%k)v3I)bEiLx%wTBc9Avp;qr<%*HR6I^?iY;b z^&oJR9h=6Lg+v{Z{UzQQ65UgJve1az?%KvC){7W1}YST3wQpX~*}BEMYK5 z^)j<8f&3$2^l{GSk#RdQsjj&K4AY>t&MhpU9q0W-xW2K1>E3v=-k-aU8hwy8_~p$q zDb5@42P?&^5=%`)muc|x>Xf)BhprRq8r}Hct7b5$^ix?Q96x-Q=dtz;hONa`qg`d> z{JdN_kbY}#@^ld`KJxEXOPJf@sI3v5f#0S1t=|L}Q(Sb+xEcFZ6PGiK?3FvHN721` z-ixHJj{tvMSp-ySsMn!fJy=%RTA7K#&HbtVT&bOM1)P|vKpcyYMiaM#4hclg@k6A1 z*vzgzb{u~;a3a)*e7oy-vk5nNtcezP`h2Ju8%wfp)#VV|0kS z!n3=fau>bi;*W^8xT3VFtTaJTOy!EbUcS+WUe)HS?O=UuKZa@j5t@5>4*CXbMVpL3%Bw!BNac$TgFygK9X5>#p@?%7~IJj=@m0o9FM^{pDe%k3WT!r+8AI9B5)4>B)f}L2 zSD#lceY>kx6VPAU>5(%NxbN+?ht?w0Qzi(U1=TbAhXfqBBQ*z-_*CE4+*?O zC#wEAHI@oO5vc^p8VM;Qj33V58QUPcxu((_OXA=A=rXp~}rj4q}S7B(71O%A@7cHNnJqVa`hfRyqCVa;TO#2&4czmE zyj%t$F7t@am1e6E%4M;QWeYK%)I&f0sPT;2av``tTw}NS$W#yI_+PydwudL=k-&7k zx5~%ai`naSgP$EkaFa>kr1a~KL%%3d_d-}_vk~2AUh(bcK^a_47qs^VUml$@yxHuo zB4#!|mwVnP)o`rCB>#gV0VMgk#Y}lh#+B3Gex}}}0oTa<*F3=ad$~}FKva}3&#}wf zrWc~v-9Pv-tBTT5{MK^M&0SzrYf89cQ2d&ocK-~!*bPo!HA!dp>gL{6pYwR;0o0?v zvgOJcV$?ynl0a7GCc~ufNfbU{E0vQp8RtZ?!Dr?gru1jX)+5tW|62;6Wc0<;?C=xjAFT_lVdx;&ez;*x)c(j{ zy*lG6pr`VRPKu%KtwAYSjg+){cs2?QXSGTvD-v6;Z0S5^@82wH$(!YH32HI+^%QUg z?~T;g_JRlo9chE&&u+=Ylo=bR$$dd>2_ z%!c9C(4tzq-a^ z+8AHt+Qp~(6TWTZj?aVQOF|U}u9Cs%i$dS;0nR|Y|7y-x%qd5Tf`yzA;go!?Zx`R#*Aqys5O4bG zmz2F&chzIvmonbhf7oG=CzB9A;7qe*KX!LH!M9MU9_xf;67s_c|ab;_Q^LNsK+} zc7yE`yzz#k`J2)YXJZ)?s!TxG+4wcn+?+`kZ;^C|y&L_HiLrzdpyw#+TXcl#U?yjc zyZWR-;&J{DOJM{7ZJYu^nQpL2a?0jyYTdLz?v7?9EcbwleC6ibB?DqRhBOk!xH`AH z&WO*j7bG{FEOg6wH8}lMCZYnR!3R}9uHmnQqq=gnz90MvbW$Lvq~nDroEdsY9>jf$ zKWgbXL-l)CwOK{={(z3BeCjcmWGYz1HSuYwFEPl~+@AFv`*skOn zlj+<0sRP3t{cpd)2q1KsD+*ts(+*{g0!AmcZ3rIa1U1a!H!Co$Jm_FMy-^vU#H?A& zT0aP3k8ChH<4h@0O>4{IQyqnT_Rhzu55gtqNto(Zu=puy8F>A59T8v1cqNR>-O~Z% zfU^wtAP{!)ORiC{x^xK=8jHSFnWgVh!vi*1!=sXPRQr9I#95AU*k#ty@8?8m+vSk z-L3J@uF5X5MxWF3Kw+s0B>=cc73~Xabq5&De$0_hGJoFZo?3PWfr59-2!8X#2j?Up|M|+iqV>ntftkc*jRg>q3Y!r;22+B2 zuu}~`LR7S2{Wg3d2uStZ2UhMOp6}j%1@$9OT!Y}?I;;lM(yqJ3v_c*~+TmgI=HNRz zmr!D^#Qx3ay9IAV;$-UKV7lc$3<-1+DXe*G_a$AR-Q#5#30Y#0l@%~4!`GkOQ9~rl zwQJAPZFOZ;hYVaIOUuTJ1A6!vG-oN42HD1)ZTTzzE_O7q z;O(!H+}X=RWO%~fhfdqvPXamv!@0+mHwlYA$%Ifyng8%1t1($zYfTR_n0gYV@)m5Q#ob-~J7PRK~Mp5Gu1 zF*o5_*aQ*>KUnq)f}mzlHOX9;21uT`fnCr1DZ^mo4t|I_OxEnuoS~obxQ-2l{J$Qk zWjb&Jn{g5&fTq;3n^vB1U`uan*=Bk9%xPCMk84?dGFt0DgmrS&14JDD1HQ zZxjL1YeJ>vOjs?D+3`b4fEew{%x_e{H6|pKsm2o>d07ZXs5>&jYu+|pM)ko5-zD)u zo@R1Z)*L8f0}BGwao7~sAw$)q{>7K8&^uKYBqxHKg)t7Bsb~{86FaU;yUq|zPop05 zs|*&v!=;4y4W_D^Q*_*OA~8HJ_m7%``85#!6k%HAYo2OnI+h@BBThM2Gv{eIL%*$r z++C{?CR3{t#zF3_XMm+M;oOX8uJPk<)dnXUCt*AJ0kPjAq>{^vCrx-&X%D5tcH&b9 z`wAsHT^sWqH!R!{%W8HynLKM?!gXR~Zk=|nv4?kjr@7NtVKZhpK~Z8E7t=wiXCSyKjzN)P|4m z-wIZodrXRl#Cdk)a5Q(%oM_G5i7qEbV4<^&fxyiivB4mY`d`q573&-1UJP5O<^Jda z?HQ}H8~cZ5e_IeMBmf|_f3UHv>#SYs*8^wb)x^IBio8_obpK>A-e2Gn=hdgcCPtAFikU>uDI|@>SXSDH*=X!LU z=Ac~b?A0u}r;M~+Dy_Jzc|#z>)D#H0PZA>Jffqi0-^xLR{VWqdRKsVDY&kU38zDxn z17ku72Om}gh|BhEdvf6e(hwoLKDsLa1pW6@=mXwBSYtRe81PN-yp zLcU42b;#*I)}*!)H;^eeyx634O_Ue`1`)GJsfioz$%l2S*1lFo3*%$ltmKN^Y@`XE z@6`I;%z4)=X3|iHk~tXh zP&#EZPGZ1Vq?8!qSK*o4wjee%%G5z*1ew@j??Adi^bZ_4tmOupfabW9ucp`l9Qk3% z)klYM(A)GAaFm@`@#{`J-p z@KAD-i23X{5vP^-`~-icS?UDxy7Vb>oNyw@u|h^n&q!_^0Fs3Wd2IyLkN;^j zhfvmV(|%Mu;z)G)b)c$o--sQb$36^wsPemr1Q8 zVVR0&m$kb*^(W@360dLr;4RGDM7xNZBmfb>DUj^KV>s`<)IV`j{?-tILp;Tx?SEKA zeUfvdF%@E()TP1@PiPCX$o=%oJmLSpy~6~+pV=7okEz z5^8Yt@!eyRP{QilK*!qYk?<&%R;Bvuq*Y<1VzT}^#WN#@Mhx0lSOrzF3Q z#u%5AkenUPwC1sGPLYyuiU|pIt$~pf&n?_!@AF+%w(M_AY`HI-IMS@t z-tp$}_4cLNdbGN{=BY?BJuKXnc5mh0LEfJjce?N!#e=?ITe1Yom?v&ATmSi1fTPjG zGOooCt!t5*u(rIp&fwC@T;cktZ{DnU$PB1M0#zJpRsn%ZP5g(b*^4SoT+A)=qFblskQxRBPwT-fSj` z%T=M_jh8<{eaxZsXHy#W!}-Q{npH^T&28N;%-&yr1yR^^kG`H?@wj&;s=Z7l5?{K7 z;%)E*)(ZLr7uNTaZ6SJVsw)0sG=x|@0{n)NN~QN(%~n6QTD>|Bz)uKQPO(=VJG4oE z+l8(E-3XhX_3+v?49JD_m8#Y~|4Tti5uho$;IwIzYY=i{68Q*-?wDA*?K0&PPC0wJ zK-VG9a<@H2!C22ph1MsD`pS_PNbOAR`Dk36y@~vAoZzdSn>-kiJCD(ukadm^y%c(8 zo#Ve2gV0Szs{Y~uVh@TB?}X2LjW6b6E%-BR7ImgniU+mX&U^BIb=(*nKn7#z1J}F} z;Vq9Yk`8md#vkPt4=zM-EWIG6p*&elFt8S#xW72_cY-16js+*fm$dx^?18V9#3x6} zPlS!gf<1+mS1NJ(u@tuB>CgDehYM)2gUXF2IBa_i{ohs(Tb*}pwoN`?v1o=Sa6SXz?)|Sp6x;{f1 z#=GnKSY`ps8FjbVIG3my{=RNlb^mno z{=BIXNM*Rq`utJbvbu70iTBZO1Vg`ZT#U!BTzLj_beeu@Ssrie&y=hs|qnQ zv~0_%*L+P?Cy)+0ql?i&GKr5~QYug+1y|)bys7#gyJMrd_3`iN=`TZ)Gz=YC##fgR zH(Iq>eP`tL(EgV0a@UfL-dLGnN8Txao5C@zEm23+hHabJyN zezjEvh_}9eP{LL5s)?s{HrGMS<5&6>>(5RPQSa9i#^khjkCaxCS6^J6uus zd6;!ZL(6%@xR^0Iy^pZqv`FiexaB0mgjdR3S!z1sY5FOAQZk_~zD5A(U&)r%_%8Cz zoPp{b$^ZSluJ~3?inydE`zH1oMRmLE$MfI$46a=CLFqFkos#eTr`>Pu4Z?N_y{qAhpu}!e^I{b719cRz9VW24aQ2-k~NX45_Hzb&a65R>sSwXW1vVV|chCdEO~Dwdm1-mr2N`aKrB z2)JgBvEufTIxfMz#E9KfqOefc4L9Xu!)H*Ts z5m}I8l50nzQwJSfp8f*x;EB|n8Th@3`{7 zn9_Ou!-*ZV$9@bw!$h+mNICP}px)W>w2w2Y|3(c3WaceR%`xAK6Jb%svW@1=eVkp^@L*<(`uW<-Y~bPok(QG9(^P`lyx4r6 zQgUsY{g5v50vZ`_%NBYaFm>cWRg$%1y^6g3YCLi{ZGJK==WhL|yT^D$e=ltqUDbBE zwDC|(onBzU>Rjj4$@J+{i9LJ`MBbZNx}&ps;+Q=V9OttSxy)&wnE8?iJq{Jf#jU6% z&BIRGR8~^N=3R@yezTA5o@wcjIgHs?FLx+(h{-44_^YIII!l;0Qy(xc@mW80dKog9 z7s`t;UQ?*YqIOUP(7|!WE9$nK94Wu(7L)7#+Da5sJdu^!#LCg5q=Zn3*hi*W`B|E~ z?$7RyIta60(nzEXOG>m8J&*wyP0Mk>xt7#BNV>D78=Y&^11vE;f-`iLqL4SJM);Hq zVeh}4O}U*n_&8w2(<+~cgJ^3J+=Yy`ko%S%Gc4Fc(l(*YlaM^2o8$bq^ATx^^)0Dn zbqM%lN#SRvhC(Rc!l`*Z?@&`hZ_G^yuGJjuL`{<=OepxcT+ZsSLr`OSq;fttoV05T zy?n?fnZk`8`YBcv7u*a=9=y&l&QXD5ObV{07}vTx2XL)r=RAc(Ag@S>x~{ExGB&Pd z3u@L#Bil#>ioQ`{`<(E$P3pY|wDeqq91mrvyful4{jgPDJH_%o<9TPCj7vRV>oG-{ z-XKSxZ#)U+j3EKx>c*s0Qk8$4-n+n?>r^pGE%pN33ciNp_vgu&zp9_XZaujM{Wk)l z3y^f9fFip2%R>CUifhO3lFmJ4HPPFFx)sm>9}74eQnoyvg9saGaYa|}yBRI-#Ip0i z(KwDb#w|A6Rhp+yW-Nq#hmyeY2G6S)4eSyw^ekl27|?;i%HWI=#X)0}tF#M)cRmv5 z*?52{#%Q00jDI*AbM@Kim%<^|?^BfsAuNVP<7T~KL>eqxx{_P#r!~pkkmMo_wc)K| zym363l_PiUDApM%Q!TKtaaM`M1QFy@e*H7Hq6>%#QG1#$cF+#>FStyguj7M#r$Vuf z47(3PJ%c9?T*#ARS{B$83KqZ)hMCN5@S_=7Hu6#YLMUsz9ctW~h%{P?@!JSlJ36y5 zwb;Pmnlh>Oe!NtdkBE3N``H~;~EB@fy*iyVi(HRD`ma)r%OGB*+SIny;3u| zG{NOb@*}B$lP+|G7CD^JZAT#$gMMHj5ItE+{tz9vhuQUPTu|$x?dp)#Gc0vj^ixu^ zUrL2pQ-ZNq_x^#pA}^1tzFYc`_tzfAJ9V2Wo06qm^+^Y&N@*&1s!Q8~U*|EWhc+|5 z6Jjxb8XC^tK}s1=4E0}NMg-Omu2Y_DW{f8|r=c~D_^I_jW?MRtqY4u!zvMD*KZ#2p z?u|wlCknY=*e_^-2HbZi+8Xj=7D^1DW+c25cspR37D?iQ+WB~Pw{OED1Y`vJfB9Zx zUJQS}c`Su7^B5l;(+qk4Qx1ZF;Th9+wsM*GAoXcjkwK1-wv+^SJYUJjFDguRXnzEMHmfSV{$2 z3)HQL<|Mo$hIE#Z4ei1ljnV5qtnXPvb##L>geqZwbf&o$l9PtA`$mNd;4~PY>II^cr0O| zmJ+(mt|zlIn3eywe90DR-)H@4H#29l{4L5$XEZ`nBg)13@>GhM)~{3To9}ZIU%^`^ zaWJMJWcsjhv1R4=G4n#t^-CMU`4^4}h2=0a>)N}~-l+XL6L0+5Y084Lfq?s!9ryrN z)trWdju-CwX+~YG33GxBlN_W+b*g|D+I`|BB^kX+3im7Fp%Oh{@MrOFwmyysyEHAN zyoi{o6SGbx@MP(&d1Gh8b0jBt8L6_FTZB3N_6=BJp=(Gwfs_PcxE+j+o&*R#j24duO zzD{5JXF#gB!#leH68ZdurA%W!q-^gbkW&BAxkV}Yh511I?wt=Zw~fdccFElB1$(Pa zI9Cj1P>*X-?&sMQ#kMJ&mS_j(!WypikH&c$y0TkqyPt)FT~1%*Dt)xpri`L|GP)bq z1(r7P+cpn@{&vxCqa)+=6H-=I6HfMw;3!19v?`YDaiWE8zvWj%q2K3@jWK|c$V)b% z87PcXf7Occ>}AJ)#O8XedU;IM#WWp}T)zyT@OGEuRZ7>$;EMW?&LpoGPc2$;;=96j zHO&)_xfOTrcp}2~WcNZOBnu88C98b^Kv5{6ZrsyQx;-)M7Temfze30DhxbD2u2Mn&zv%0oic5 z=GygKiSe)VC6x6D_m4V8nzooAT~`~Mv9&TbDeNS5g}l*8VP$`d*uSs4Vf-P$DJW5^CL^EzUrBJDOmmv)lz1WLfE)}oS$30#b<|I zM`Th_Fntnd_xate7ULdK=u4{=OrDEu{dQ;C;M(RHWFd%3x;S&~blsp|!!$ z&$d%`uN{;)>4cJtYEMS<2w#o+LCc#DxxR|uzBFvT-ed*8?|6mgPl4`>ABrZ3f6FdeJ>&y5+iZvSfw<~loe)eG@XeG zb+)}zIrZHmtLe_P`dC$b1mTFiIA<}+98M#)LuZ!@DrLLAdYArs^j)H0$C@KsOpZIp z4_e_BQlK9B+%``MQ13ix9?%QBXn0VnfhtWj%K=Bz26)JwAEm1$9hnJPAx$<}@lWVPGd-70h{fAY0 z;7Yb#L|(;J=}GC97y4jA!;x{fZgay1`qv=|`UJq+qlD%7p>|{Fgb1;lM(tVCbXg|7 z+KBy6!b_akvkg%3Y+*vPGsNl`OkyK?qRjg-D+A=BmBRt7>AF#l*DTzqKf`XB-_PZc z@s%iyNqyFP@i*1#;8Caj&UnBcuznXLyq(^%=9&Li1be;oPCFsvdZCa8HTyA*;^D*9 z^)o(d{ySl`FLAuF-+DzZ->fu{NSS*L>SVuVNN?bQyunKnMy>GPLbYQsG?#yD@W`!A zRHV&pzD~8YtlxD|&9TS(^0w{0O<|7gFrqX2)Tbi*Ww{Mx((IY=ubS`>&>DhHffggR zRD1=p5L4tPnf(im0FGpRdK_6JBWB1o;qF_Pbd%F^znlD7MA;>paLKV>%}lCAO;rtzsEn+lIvkTq{Shpx! zR}B)B`KJgoZ%zu;8b;Tj-Y<&BJv>&Z_NHr!>CXwzlGKB0n{}1swKhX7M2u!H-)08; zk8>Wc?xJ;13Kj*?A7Kwu{l=)JX6GgLAMcE0J&e zP6aTwSkRj$Fg}jBA2^?Z*uFNv!oan^aAKCM?sNv*P3;ZQviyXbyE+yZ@N90BH(+_z z$7g|FeZM0NHpwM3;@mjw5P^VmEyxD&Lnk|$EDByjF7Ir{5wBFnJ0EG@vCm$OqTjJ& zht%~i~C5WT(<{tyHsDlb$nAf@QPGn?KY8 zwb_c-m3CG?%i>yl7j|Y`;oFD5%q&uHSCgV&3J59PKq&>G#e(WXn6riURRZ2EOnMD$MzDUiEPt(&R)9~i6L!#GRp2ZsBPj@oyq4kI$(^sj60 zkwy7n%5Jx_<+L5;y-1mbJX8XPVv}4D8(nKv&m}(X!l4g3@+UT3?AmoELFKSo`0MyW zy8)KGDj+j}9f9H#)WZE-!WCV7=H|K&N?tskliqP@#O5*--x2|yJ$I{xjG}t3xrgar zdg?h5!~^aDaaqhzhDTx6);J(vRbtJu=`WmabxucjCkYWec}Fx`i%DwX`S4>Q?hIW3+SA6>4G46%avGucgg6DGU0qX>{oDP>~OG+&Kiz zlP6IhE_+_)T;jGxB1mcDUDkrD{Yh|o*JX3+p+DxWx2hI(sd2X*)5p%!x=aW==2ow^ z8(=HR!j7BR)YnvugHZ-xA_wA1(BSx2TyT#v>Cslc++DN;GVJr_rFUGztZ#G36grGD z3SG^ibLJt{^-tmuqi3iBUwRQ!HWNbLep2ZznHt;8iR6T~5Wt(`mrRud4tKkfNibs=DNMC@pYvni`VDfZ6F&%ArdBggOdPS6ZtxOwScd!9o zy!Nm7@>|z~s=>zY{S}JeO!C-O#gx6f8zacor&;N*@jYZ8GX{=k-EyHjy&U4+m%cn6 zPM#}Rh1|;T*2us5qsNeLtru8lV5<@QuMnz){gWFcCx7O;E`YkfKZGLH@)2F;&U*i{ zrN9EEo2N955T(6Ozek{W%A#=J-WuJ$0|i4*(T!=_bf(Fgl-gu2o`v;dpdX_I(`^=N zNbny*+(&OYkWX}Z4WFQe>+3dwq>!ZC&b-)ML?ma?qmPmJz<2 z@z~5lS7pbg4+A%tZfY(zd)r_uYpfF?XmsvUqsBxFSQw!QCmIpUCZ*wXrJ>O~JnJ11 z(AnczWs{6Nm$t2!1z+gTTHo0_6td-O~O8HI{VCRg1(2_WO z>kU`$&~1Cn^(VDfeYBz<5AdxRPL|~MupdkA`eFEXS(UKt>;0$T6wZ~@7sWhUGv{j| zWXbvxUr=VyJa_Ur&k7E%O${8@bayQ~jCB~yjz+KhPcxJyyUi_05%gL^=$EYd5)nC2 zuCQ$3KZDVnjcNelb=L~qs_EL86Kl~Jj$e9z?S~o*aDQ@Y$_OFq zVHI#S3&{EO0hT@j*jZ_PH(JmHzx=f&R*Grz8=S#ASkUp)G9_tyU-6;--p~4BwF-H2 zY8-;*vs|t6a|w^C(4Pf71ev@aMJwi6?LCe&xoFmkBZAzVtwvK{28Xs6C_(QB`19au zz1z~J84x*wEnhuSFaZO)t->~in{Z>|#W@R|ku82P5%PMgjQ^?SHOahhk*ptR)xdIs^D4ji{N2F- z(US{GneFFyu0QELMBF8Co|>gWsv45<;@fW$BZK0Y1xazk~NnvJ=x{8)mUbZbp<^ku8|65ze14zCfm1!8`W zwXBQ-(;k9EZo?v&%1(hAaEl4vu<-&UGV)k9;sC-geqC#MV=2jq(?<>G!~2`l)VG|p zOz3DRWKJ3AcXZe6?dS8+mFl5W+0dvePF+q%jD!!g$7v07E9tM2Z4uX)8k2l_R?-Nc zN{*^TIq6qbZp_U>71_}soL<~e9-xFX0ONwY`jPD3Uf+ix7rJr<)*mTFJ|y$+kiVOS z*|G*Yio|K&1Xm z)b1f|GdJSzo<|ofv%7cS1OUk=mZdJ+&~J+0#v10E{JNi>|14)-eWb36pyH!g_5<^$ zl^wamNWQ?;W_-y-SzVzsC*A3=^kZxzYKs`^Y+0X8%R^z*$^#Tx0ZYtnc+R%_le|or z1uaR^62EYb8+QX_kAdJ`fl3vSlm=eKYeL$e-!O6Yi}WR=zio_J&XrKLrd1i3FG{+P zP+&e(`}hOZYFhl8LsDIZ;c{I`3=EXxU8Nf)QLS_VCG*Ru zH`#L36Db=Pb5pGE1O223G)~roWpzQL1AgfOJJoW)rkO%v%xz9yMwbJ!52<|uP;_Tv zgEnnp3|0&QzHCWBKX1OofNIRo7f_)l>bTFyDUtL8;hZx)F@dTqul1>HfLtxP zHZDVz!GX&7EAc`_403bdbBGqA*u3fj7KQ zWr@173G7JB)2Y_0#g?9pG_@c1^(| zruk|N@;zxOgipJ=GwlB2#Il1?aEdY&$h;VSXr!+NgcCA8eKNpSQf@%$Bq9MzOjT8b z8~P3Pw(PqW###^vC~MP+$$;Tp$SICLucsvHk^Qbl&r$HOV0kEiA+e5_Y74?(1APVj z`YbVdSxj2DbZ)m=;zzjMuX_npMIy;ifV%zhDM&F~x=0?!nQq#CW{DUc5&F&$aw%@m z+)IR3wUf6cD6r?y9ia}LV~@-JfSEjJS5S$U^8)YHd_mJ_hGF@=|gTQgGg zAV?Bxks*Jt=Tpy~Bz7y_rFo|`Nd+yW4W{>B_U^SLB&_PDLiH0AvveX@(B=BkOsT2% zvEtkfS=u9EkcWTSh=;)Ju;;M#5PSp5mm(c`0yNHCj{I4>5SSV68Bzy z4T*V zaOSme?tpMj4aN8h|2hgd%LM7)(a!#=o=;`xc-q%j^OCqdwrwWcyir!4RlK9HAh z`~Mfv|Nlb{35E4Kroa3Dvz)-|5dP8rm*N7l|DEF>g8%y){n8Fw{-{Clcb|WY|3s$$79+s?qtAZ=)c;KJ zCyDxZikmn8%BB9D;NP*1zY~B_{~hx9-)H+z%;RtUtL^E3fj<5o!@nDCe&<*f`hCUz z$9D6#`0pw6=luUI4mSAL)$nJ6KPT<)1Sp2TSAe1{?CS}IfIxixY`$XdQz8 Co$AW~ literal 0 HcmV?d00001 diff --git a/swf/tests/swfs/PlaceObject3-Image.swf b/swf/tests/swfs/PlaceObject3-Image.swf new file mode 100644 index 0000000000000000000000000000000000000000..2a079445db25a6b1ad096fa928561fac396e6811 GIT binary patch literal 19454 zcmV((K;XYaS5ptaSpWcdoUFVDSd-n-E*yGQ2uPO}ic$q4s3<*jP+9_n-h}`v5KwxT z-a9J2rO*Qk(vglRH3SevK%@#v7x>}b``c%K|G&?9J?B5`8gk`%c&DHS;lEj2kg+4&VUEgj=!T3VX(D=J!gdfKZz zJRFR)wA3`zw3it<&M&Ue(b8WzzstjT{&O)25d{T34=cqbcAksDM0*Ly^IwCBm7bmF zZ^v0X07yynoG5{W2m~Mo5|IFj&bk5Y=O6X20r=M-CnF)HpgjK_gdRZr*B~MyBc&jr zAp;PT5Rn4N7#PWcS9n28eEb5EN)*h3QsA4?$`E~98I=$^lXgy{UEkpKY-fD^+wJEH#+aTMR`W4b^3ef*14fDO;lIO*pH znBkDw@5ku|#0-(Lwsy0~a1L5#rPaAj;Z+xGqsC|WXG}?jV&E5@EepT6VSX&Bkz zt7*D_1~Al93p^=DB;fNHff6IpeBi5Jg=>wEZ=Y;wI=LyRE={QnbSvZ=*HukU7E397 ztifQlTzhL1Q^mjDd)f`PmW=!VDR~4pG1Jx~SvDgJ?6|SpTPhsN)8r0l7|;!&o&@9r$)dV6QLtJxk%6n{toMeAYj;!E z5HtT|8U*qp3P1}{#9C7m(VN6Qw3aeHRyjE~!V0&pKYK7Rc5CV;~t>rcgS7-(#<3~dl6*F%I)BTi55?y4LRG6}5I< z{(b){T9ebF>RC)0XB=6g;FWH5K3>*+1$%w&DLE5bJJx^7yX}`~Z`U2W>vUnpeKPDQ zTGp(L8}Jz9dVlvwD}oT&`SwA1G-)(MM+c}Igz#I_oVZ-3Xtlu2^R-+*JX2PwR(F>y zJ0$D+uZgs{xSyn`;TrNwf|sFBOCzfipMJ_T!*bokrJ+ZSU$cVNxcf%pAlh*MoYANR z9jO~@A?W|6CibUe*2j(WRb$*V)T{CF_$c0DF%tbq^PI5IOa*bUSt>$%BLPU!{2KJRR5Ds%*CMCG)@+gX78ZU_zLk~mEc zT18v?LW$d3d#G{ehFhXaIjg0n)aH;kLqhZhMvRj0-$f<~5N%E4f`NOcOtPh$_nP5% zsVMOItH%~K!uIH~ocO8PskIbC5(y_45jWQ+ImtF6&UT^d^yiP}_wUaC9zM!DinmPm zUONM9le`U`a10u6N}oCX!M&By)Z!~W4z$dATv<6Cm7M|-tj2fA==5{IM`ffVq}00j zgtCQR(7`0*$p2>oR&c^WIa=>!bPV3QduuhB4=5oDL%UUHE4=>231yd*+R24%f?z6cc&#s-E3mdjDj}XpIFuxOCzifSlQPhT#HjO-@Sr`o)#Ig$G?3)I2 zIzz~?JZkpp3d+Qi{DunpKya#6m1{#=;2o%HmBKr}yYWdXWO-3dSnJTc)KpKV*PY~(4H=E}As%c`0pc|EDT&xiMBvKCH?CCGiQ}~4T+vvFgh5kMR z)Fs|jJi!y3A06x}odFJK=1JF3H|BQ|X1p0%4Oi6jKv_}QF_?cYlhYsVZb6>(&-k!? zt|woQt&G;% zlm5q02v(cR5De}nwVNFpI@$-n!u;FzW;M55p{z$*4;@2Gwqqdv%|)8O9+gUj36STDk-Xyaoc00O>_ch=U=_eC&T2zVx3}3koGG zU1xynfj%Q=fHJ7cSO4?f@H>>7z_mrIkbK*J-kLpt3I6y9Rkh^q#^P`W5MSZEB+xgE zmYuVD)OiJE|IEif)0N;vA{}PKvqN7sK-}hogVmyjtIz!hlkk)go%;{A zw4rvawVM>Z=@ktx*9Nmsmpx*gwNLo;7^QC(dAEU>Jok5e+$yIH2(}$rZ9~<~Gr$)ieQB#-jW!Mn`!4F6<4w8-xO5ZhRJ&JS8(q2_1tz(y zYXs{HLHvt~09z0l0-#43+HHN;Wp`ytPu16^v#Hq;E;zG!;y{wNRi-1CF8;C@wf#sNZ#bSgK~qpxvlflxiui2 zroTvOE3f5W$?3Q6zA^>z2G1UaRUcmtzPw2ybn;>~*L|#N-To5cBrl~XNhb&6y3Wp6 zTgRYJL8Q7`Q#9EDVK0Z-drDN_3ycl!kqi-8=5BrDPh-KIrQkKs+f=o*S(adY4g2DJ zpYMOqQC03%i^CF4(bXbzEP1h#c=n%!N2_xUSq0|9Zq z3yh4@Y|!(0FQ!gk@>^|{`|5|y=zBdnX;6b~Ew+xu1kqnM1d2It8$>$Zk?0Pp)D5yf z1^bv{+NyW1(``8=zpmP78cY(k{qzopjt+WzWx_f|%D@3gUv49&9kM$uxaB{KPz+yr zYcU~iChs{sV}~i5R07$3G%ka6$}j|;0VdzS2=6eyJ@EVgK+7(DnkaDgLh8}Z%JJIT zFE~ikJGRF%g9HVYHE8w9vqWf#fyrbwvAfRFT9Z&~Vci73ggNx3tW1+1gEhEKyDD^{D7O^Z?eV5P16)VxAh-4;LQO zj!k+oP)FKF7OU2z^hzD4n=52W#lAWT;dW@2P_Q%Cl1&5i&0B{^s4%Dz#eU-m8gHm8 zENx2)>T;bmVkxirJ{a^4{7bnhsa#uT`N=(J^U7ee%SR^<&6vNtOvecK!QtO6D zkPqbRk!nZpy_A2O$Dl$cB{7}oTH`e1`P;{mi&wd_&GORhp>sY;Z zZa>ZWrtD7%pa*8oCB{0V=Xzr1?fvfEUnLox8Uba_2*vrGT937X{Rw}+o_#a1MV z65F)^jSd!*Q=Y4(Ao$qAxn=RNi8=$Ar=Jdb$)q1;OnJ#SG`sUl=;kcmO43H)VGK1fquQkziYz zaRp)~TsJM{o8U*r-pr<}+My_cc$+6t*{^s6Czrpn`X?WPcojF5rt{i~=(2dK+5vWN_Z$u#ve(c{4tQw~LaTTxWwk8xTB33@KKf=yd> zPfBKItw=0_IJBj6j@H@Ow70}R5^dj5YrZsYs+-FvNo-S#3ZwLH&{Cf2{6+Hh(~Yux z(Ob1B9gL}c+RIp(oEhd<1`xyd?@I41F&M6zN^#+G2-V zzyA4sb={6_)pwOIXK7>i-m_p@4pxBmr;Ss(ce)P0u}c#)(7|0kzh6g%>RXw+qMp zxzpA}4>`={$h^lBxdP-`5b%=`3y7yZujRKyuvDrY?TGRJ9<;v4<-DwdM!&XveE+7 zcH6)H-%v%#INFoFoQyRSLatFnj+l3A7sE)kREc{_dI#Nc$0a>Y+EW1_NM91nR`8c2 zlrru)14JJhr*0^`)H;2uZnd>_lI*dydWkLwvDv0TRj_*JG$rGhm*?y1Pm8eCRrJ&p zx8=MK63*!O0T8zN#kUHA*A60UMiItt?6O`4#z2< zQPJ>>d!>#}QIx%Jva@$Lok%ABViInox|!*Et*zy=y_vrAu)Hw(I$76hM6M`h*1ly* z_H17YrOmE!SlClPs;Ui&M{`H<(5lu1^sj8ZamqqVe9KgTMIg!)D8UVyah6?t(H`$T zNlu0O*f;UCq3Blt6MOOdHKHA?SxxWrfaXbVmzk!MA&S0+sk`A1=)bj}TL=5e=SD?4 z5wI#j-z_@t&KZ;bgh!vAfiUpu(Or`6F|D6)J;_neH(5Y7G?3+AQ6_lb4~h`R%#ioW zbr@igkc-$mTv%_YTeB}DTW5%Rl6;JpELqXxkF?{Xi#*)`GQ)GVEBE7+z(gTq4CyUSUS*2bUGs*d^uac2u4BlA{nb(Jiv@21Sm zjqx*pp#k@{m+V$9N5Bhizq8EK8zEP=uI6xHO0hDNG9{}Ea5Y&1|#x-|IQ;IL+v?gyJpEFc!ApLa(0((&ruuT)pV2yEfMHE z%0)QebbyVCt&4Kb)iEHChg7X2Zcg?H*4mwLdOVDNASCmGI0ECO@q}=(&`u)WP#887 zE&+AvM`lV#5YxaF=qk|B(QzqTvVYJodtqG`Pe%-`)0{*a!mQ@x;`-qzgjqS;q!4uwqf!&Hb+} z^-Wr)Ib2B|xci}4ge|`-l|wP>9`vI?u#GkTDwb8tT7%NqCFJHru|>#?r7qV0zB5Hw(ZMv9OOZ@(zoElR}&B2IB;ba zna|DucZ?!(k-~|U{^#9?JnPbP8LD_j~)I4={IX@IRiL1F(oczZ^Ugiz=(qeayfFF2F5u^6o$(zD>p!P zn@S!Zv!lF}p!QX6=TowR#j*vaQs0Fxg5$ZztCG?#d=)ZAc?lS8?|v1H*c){34Z$Yh>gZzy+(8 zYv<9;R*7;*D(TjK(V0vppaj0UZsJU=$90lxLd5Ox%8G45CTG(G-v{=r_2hOdlG3Aj zPLQ3*@C0tQ2!KmGiRrsGYIwRk-Q0M5Oai*s+wBmnKcwtwMv%r}AeRPu;+fxv95 zjl8>OfR}XiXMknG2J#GWpyMy6n|G8kKhah&d4&2$1QFXzs23AYKoR9WFBa8#p;KB& ziwD|vL%RDkiDH--$!vm^rFa@7ZKnQ2^*B_^4-|wZP0t@{qTZdNj61raGp;G%-tdnzw*pVZsb zzK0JV3ieF2Xax{t$0^bJR#0};DRW%-5gNUYv#))S@OZIr-FBQz1;csI?M8gAx#>{vkL{F;0CP0&y~bw9? z0sP$RFolh`Q{XHXMv zCe}*GPS@P05UdN_jyYZ2imd{;G zPW)u5-vIlb0|WB2?I;!P zi{w9@zMFx$94vH; zG+gv*pgmFR_mR||`bFZ#eWMpuR2fp3(`_sx_5=VQjwD+9f!!NK1`XWbfErDHY&JI5 zBCGu-Sz%f`UX#rEWK>5NOxEAI347h@K*E@Ax-_x&u`)mAS62kmdk8X=43v)SVXJl2 zAWSCLV1!a+*w}RXb-tnhXHJ(S{qN|?Gr;sa$FeTm^M{vYyxnIHMbOpu_v`MZIt95r zyq;%x%?PXOArzI8-v5Le!L^+BQc~s;@i4Z5xpXMnVKR;hUTfhnY@--DnZzf>msdclyMfcUO4K=>FXm$qLTI#({m$A#Ux zdrfkW02Tne4k*H!I!SV^jT*`tOYqXl&oIzGQV7%)aNLk_onBY$5PH&+(5$AI6lny1 z-=(i$IPD=hH#I7LEGyDHzoNonDF<)kzTFzzT=sje$ETR>%ZvO(n^sKXS(H%jd7l zcjc2b2XAk;_zl0uZ<0)YntHEg3?{qsowMR*zO5hx?m{ZHO~vAnyi2ZakbnK(9KjHF zE9t}7ZzWxtTxvM!{I9OHt5pGfYJOlOuyzZlrs(arp3BW{->LQyC(LvqN7 z0Q98bO853%@!IX+=T8#F6t{oMB^%LaT`h`;P`*vPFgQQ%N<=dl*ahK&uRGJCuBxrI@T{IXbVI8CI#) zK`~_z+3$$&22+z(Z^Ws~(<|%-937tq$N6Pv=UFa$1a$|+&cA6l` z`IGz)vceSVGO4Ra2E(LHYGp|9;EG8B5WW>fH6As-RS-zheE>C4yQX#ygT!l>tMkuc z5HK4!at?zuuZ)aCbUq{dPfEXk3;5*~v(?&KVp<#6%1P|o5i);BC0U!jNximbNcyT% zH&hDJZ8WsotKoC_(J5fncE~<+W^yczHC3*sYikQ!u{? zBeS3KAlk)sf|I>u3nDvXx?xI&@h&hcf!1GW-u{U9HOo$L5ZA6L;#w<2VTQ8sqcvq5lFS3bqM_^GlrFiL=xmjULHRFCi)8I z-9~gMd``N4$KrWPWz#*al1K#mM!MNCMe>@psnUrqir;RB*x!ujw>nIhEcx& zy^X-;hr3$+K%zIYrVv;0d>6^wn#NZ*JWb93u#(ttpkzFYc7Cb--D`-dkF|4`yi5p> z9J<_((CsqQEi0844Rcb|m9CW_jmVVnlw>W6m~Or*_0&&i0G*A%8r5TRF~H!~SA}I; zY@UW#YpIRxL+fZ#HqX$cm`j!om9?QF2t8DN^2~TRph5}XW%I6`*Z5O#lX&!8e}!n;KrIk86ehH{@teQBq&NH?U|+jp4CsQ^?4}T2lnxnPoS|w z7mbZke!TcsBb`-HBB810oeNq@kTcj(S-Fr6H@@}}Gl3BLc)1CFWQ5zz+`Qn!T}*be zvtRM>aV+aZSS_9|aUaAnbgbLewMvYqs>-Nvmm03EAs8O*9C zaPdAZxzl=K%0ZIJLx|RJghcV{-tXO|<=*uIm`t#ly#-3f=`W-oDz9ONuC$ zf7kWJ!a^Sm9eJxr&>3Dx!&UK>}+~&&b_7OTAk_k&{bv|Zt?wvo_g%B@};W4FBmosEbno3;SM;6JEuXaIi zkJ7Ql?g^v8s^b4-(zlWkUh~W{8Ag#aTQL=&gk%27D(jf-!#AzNwjz`ukOb@b;M>B!#@-uDm)whcIpv+j~EAa%yN9 z&D>DVdJ57`XX!fwsBdL)3{egx#`#hLKFl3Ti@B9d3f<*!EEc_HW#Z??DuJ)QV-!?W zsmoPS-A@`aq?C0$bOwlcGZ(PVaRjSBJrytrd)t0v<#>MSfi#;K8ls8K7epJf;fhBQ zza~sxs=|MvC422BOcj#^=|%aA$uF|9^+aq z0G^-H**|_=rPF1Yv2fnE_nsJtv$f3}eM7xrFho6v-_pHJ_ z_B=@#?_mFcrn}9B$=Qh&YjL^R^z-I49w9#6{KP@R3k8e_RMg+Q<(e$XJJ8;>f!4gsw3?Icg%7yZH-q)qzA zZmi*|-|%&B%#5tRMs+7s!nZ@ z82?GF)wMIg0|mj8%5ioq_iypH#iQPk){;hJMn>U>9)RMYM9nlj8#p4Ld#~yYfK)g> ze~mc3(Hx@>kfA;8?g_o4?k^uSYcsxwzBeaGg7#w`vaH>q5C4P?1}HF1VIpb{zCY zE__v#jnR(57J+m2+!GWa9!MYD0243w`T$kMDTLG0VOaYK0Mw;l*S^B;f_GFTRhADY zwI#KcF9h+)er2T{*x_vZUXd?s<=y4r^Q*;||22)Pu33iTrPea6cIESyvQU_ zKJiHf0*P~(LNKn&bx22sHo6!y^7oVOJe)MOc5s&Fra4xfqy;ZppufsH)z9L;c53ye zZ~lIaI*;v#(2=MOmyW(ArV0Uwm6AYE>M2uIfCV&`gMMvhbF(p^#_F|rDP9*Tb~W;8 zW@jm?-j8DZ)>j`L5BnwZevenL}eg7+ff_mZU9T8BYLLWJKm4}Rf!Ra zDJBwSpI}HJQ{XvFu8;l50x%&XKesApfYP9^Q5@@H2bQ%32f75|O#ZHs6qCO20_ zRqMMhpbUjUF*()-tC8$%c#J&9{WQ#M=aKzP`Aoxj8MfcI3x5!MG`yM?_#jAqz;|qV zY+~fOP=fiL=Qped)BH}KrJn)5pQh&-r_6;GZ8Fy4>}*4Btk_vBw=;Kpu-ZeeP-S%= z2}MtQe7cO*+-XxQGDz%S**YkP`^ow}_52DPd=CD)b?A|2H9{hCysO+hneDw-8ni+k zL%Z6XczCqu(1Y3SzRKOGzD!!a7nC6HlVW)WfR-4VS+p%8zv;$meffPXz51(mSp{^} zEr2NY+NQuWXdIQ*CJsHHaqQ%FdIk`xg2b8`(FOqp24WY3iuQ*tEt5K`_sEfwSH|`t zn;xahHVvq_{n9O?>7tcKf^T>(56sFyv&_V>U0DOWMQ*R%WDwn z2)YlU9dNXURm)^kipEIXD=Z!LAA5&&p{J$aJ_E>%Np)%Xy3{%Nb-ikkHqXb?H+kO< z4#?#JMB93FOpHD~svtNF`ipL1_&+)xl2YwvV~z~DJy43uQuWRi=B(yLCTmyO*kDK1wG|Bi-n{r53Q2k9)!(dsPU`0lem?}tCgDf}IzsUH@oHS%-!*=*2hwQRqT`HzQ^iDs`8 zB2(3%Zc?8*JLYC2uUWnAhEW>oy4oTH6+TW0My2hfI=5ek_jo<$yr`d~LI++dd*d=F zqj9%$Uv{YQ=bT5mWT|Su7Xebjddy2j6b%3o{SuIj^^4qaGc76LW6*vH-y5v-MJUH* zbW}QA6}4Dr7UX#*-pt$|v{<7JTW|Dp8PhxB&QF6qEgG79ei}%dy(u=p zr0EZQK&oU~187*SOYr%zDy=oDxj0rl#Jt?+$BJ0;9EJiz292xKnnFd!E;CKcNHR)6 zAd?)dj{Vtu2)J5Lb*Tp4-T^ECeueoD$>mVT{gm3dm|N?J`hmwq=7bRQ<)bA^aGl^% z-TpIYc9-_3j!>;`4x+UMri1@(&)8y@Ey3CY_q>-I-N@l6pKR9fc zNZ|==VIdX=Gp^bp{!wV~x3LY)-=sgUt-{>*CJ=rAX&3Yz8Qi#ulwc2k_ms=%w{;yY zvUHJ5f+N{*!X_v}YxolZ`^cIc3w08lY>Fj^;JdSOHsIzb(b0;vB_78*?Qd%4y7e3D zr=gR20WVW0U@5ZAmOq)U-({uY(h-VEP*axN4D2gZsH8x%5e50ZZCiZBXJ#&3pkjxd z0dJW~9V2s6FoU%GEJSF+*RuO8QoTsmf?8qOWyzr69`N5~4?^-5bAv`#_%m9M8#X^q zj8+ZCHN9(4jMF*Fj<|kTbXt-jeNrX2yXnNPXP3L=!{eHl`)+eB_04vPY{*#G6rren zFtr|X5<{vgf(b__BQF9U7R=0K8M2y0_hn>lx{DDWOrcf4hF`E#u=DO`82Ck35M5=V zkl7Q-`FAENM0%<1T|-m+^?<3GUe7nX`#|N~=b?0mz1tj*Dbrs(4&Yx`zJ_;z>Heu+ z3qAf@ig-kD>xr=;)U8RKc#6zHO#9Xw43Et=^l_-nRZ-T_bD#_#`t~7w$iZj=PJm-Q zp9xZ#rMj0E;TiOxL%Nr$pD>Cy)$UD4-BHe-YS&qd?YrYYbE(u#?dyzvi=`PIo z^khOQL|j;+`02E~MKJA}c^K?>{+}g@{^aCxdKgb1J|LKkAB+cJ`fBRen^KkEwHDe z+L!(|ANU`-m~JF*m_c(;IR_V%uLIMY?hDFSrfD#f@q+S2>~iI=%Qx9~HD^TzB?oc0 zA+*|BObr@Zj@36IqT*E+*Kh2bc{iK%(jVWPWIZCTFO7#etsu3=*O=gMv*YgCxMA$O zFL-;~iO9_I3*H`ALPE@UO#;LR1Qz_KRQum*LGa%c26_bkp%Z+Ee|Cvt!F7+uSUOk#y1Y;O9DrBcWTZ86`pG?x_=Wm{LHzhHra@4+r>|iCcmIjN9xHVYFv}8J+$n#j(Dny9EndsX?O^%$q4#WTRmAmGUjHSR-|pRGYBvM6qjZ)bqP z`Tk8T_O})*x58VwU++mef}&0<-YWbqI8Y!teF>#Y{h_e5wYYCO9jHP}m-iv?$WFK> zj$Etmx6)z^>+#2f-EnwoB5rn?r-3?c=)p%3lgEu|-BeW zSy#f!jC$8nuy~8i#_=Jo-R{V^h$=&+20WiBYI^o!Nkz=M!qPcYXz)PpB0D z(HW`IXG{G8-=CN;sj0c#(z?0kGsAQoy6P4_W$>}jDcWDy1+88kpb~h?t5@(o8M(tF zA0_MonoQq&4U_EK z7nNt#pm$Nx(Mc&JSA+$ry8z+#O0Kp?D>!b7CD}oFwaI-Y3mji>-h)T*$u`d^{j1ZI z#&5n34He_Nz?b2=hRS0%-0az2``&-6sB=-@?IgW6)U6YqL?l;74`U@(J@;W#xPNd% zQ0@ge!u;=F>}JhcXwx7OKQZ?X=S+<^T@li4Ywsm#@-D){a1WRw%Tle4d za#g9*ZZC_TrVBskk;WB>RD)?0{pd0tf1_$}h^(*ss*(lLaep55G(e1@BZ+6@P09Cw z1@0MoyuVy5i4Y(A`H^=^N&(6A%N6%Z;Jse|kG8jSamsYAR%;4dH*P){H=-pPvxvh&23^L6EI8J zAHrgf^(_{Ir|&kf)8@rv*{++3irMxweC#*I3TIir5If;5SdvE=Lw}|l1-cKEz2jgS zwL7k#U19&}7}zJT0#8y7*4_FhN97vc{Q%v$XKA!yF@MKL!+7nDp{7PLlYWi!dozx} zYc;u~aWGb22R+h8fFDJkEFJUqW>uK&LQ~+i0Xa7|`T6HN$H(T&$Ko5<%AVn}eip7t zQOY;CN>uyEsB?vja1DGs6gI_Krg4({!n#K0P2GB~O%e%yEE}A)X9&(=?V-qYt5&On z*1dUp^d0ft0&nV6Ax>SMAsx{Ja(o(`b`+JJXITio)`Q!MJ#NY9mfhMo1L$gi94S$w zQ`mVMRN}h<{a0thI6ipV-AE~ z*wmP0k}Oi@nsOIemx3p9G3K4)wQZeZ1FnNyc6Khg@)PM>{g_R>YvpN0wLcw^TQvH9 zy@tr_ZUyo~_;tCV&T%PwCw>QXT;9$`*kq2Ew9{16-0veK=jvr)YE=Eq<0;8|>Bf7u zl9Ju)O|{g@oC72SBij@y*X_2{zfS49+?Sv7v=7A#8%iobur{5a4C(cM9u-nvPL4Zj z$fcNTJqgS@FflfAb?;WX#Ou-#3IH=)t9FUf)y_)R8nVP^31Fw;Z`25(LQe;8KOJZe zg!Nxa5jhVB*in-w7yW6>KQ ze=SdD6(sXwc|3+X8pkh|XTSbLr*mA7*a<|o_9rb2{o5OU?@vxL#&6Fz9JYNF{ZjoqyhI3deiWtW-W6uat8a_?caNy6{m6sgL^rMO9tOYJ)&t~D3P@hP!Y{adrE|2+%^5(OX8Y_` z4!d7+!GnQj7E|=Pq@;S^Nj1_qPoH@M)6qRa6gn#H`uq9R+WspGmWU#&orYKNC9|f4 z_yU;@&B7NSH)>|Y?2j_0q@IvK42q+r^kPni!)Wt9cn1;aHvF^P*6x{{0R+BZ2GTZ= zoyK7U*eL3g*(i9W@zOt-YNJ}_RqLFr3dgAMvcIHnG8)talR!4@+c1Y0AI@|eVEP0VHpv^Pmc?Z!S8;oHYc|Nra5vXn_sBgI5h|MO|<4BNJM-_|A=i$4qN3pBa{^ORxJQB(K5biFe_=S#d-t-jAFi zXNR+~nPR>5`mD+W<6@qan22Zw4MKQ~3b_MO(yNM27E0TZEm7xvij66xDk{AN?940_XshPH`V_rYNKmZ5KGHtELNxyof^n$DCy6~ad*#X!_3&5(bbytlHMdA!S$ z6)dXym@SI9HY3Udd&*B(+fZNn>U9TSLAJ-T5sPAXqdWt^({EoL2kqVPwC#&iW*9@g z|GSo}XSe>SKf-t8Z@9t3sdyI|OU)pknjQ8t00p$^$v9&I3D* zxEAPjix~pe&Nsix0G;Zd@!E7oY9b4Fzu^fz*oLvIf=ICVt-yz#wCm|>qfaZV44pHC zV3c^=HPc2j{$s2u`(Uj5i&PU}$72aq2~aibE)kHO!!1-g2m5lc_o6CtvvW{R^Dz`s zgAtcx1b35`jYEcxc7Y*zi!-n>3)!O;a(D0haN+BPT11bJ3LFQC1?8rSOMRsHLsn}zngfnbB!5&_mgDE5 zLfMrk!bky*{12=r=yNh9LFrj7H4@rpZ`;bbQv(N$++c~PBGwYlcR^NJ~n5(!5YgB?7#Bf!ZESWht6T z<33sCT`@b8N1i5%)r&I}{I0i6#8mhVLAYS`0?Mcl|0x-AE`p7L9NLfCEat*QrEM^m ztUA}f8ig&^V3vXOYRo(l-YKd=)zmuD4nkDy)PI|w{09dT_(x^+A+gn`(#u9D^^2TD z{F|1GoW!*s`U)30iB}zi*Tc+WVcU6z>87y@?4@g79W2FkZpabBI)Ra&!hNx$7O>1y z+Qb(DPqIofQf2`kIHCWjubd*YZx4$ahU)qgZ8W^EjhS80d}!gtVc{?s9h$R}fICXm z^X9gYvY8tSfO8t)WZ%K61nn;4%@Ayof>Y~ac$`hiDv$M?1J+rwJ*t0*GO8Q$%-_j~ zfOunP$20uCe<+|Ku3u4{`{5eQbV$AFSK9mZAxagxhVF2VkxxOZTRYsnJ)+m8?GD;p z`Iw1PtfIv;=Sf@&?GrjY4PJmMFNuHD^KHo8^qH?5WypJbxx9w)-b58ShSuz%t*u?s z6(%n~#%e1S5OGhH@X~`(PK)RW%bx*L?7Ysgza!tki*_`O88_hAr}PR%SzT;2rc$o( zWiRg6PnToQ0AS^^(`FObM!PdW)SUZ7M$mXK{?TzyP~ZcOskgHm37_TMHvAV$JSFt+ zClgY&$U*k1rTHp1=##xox%KRE!hoAM*-Kn*5>}Cm!$?D#j9Ods4z-fYOPK`t#|(Pk<^H(a;}Ueg$f>ScfcJWl?MBGw+COA?4YCVo=pY{Cxw|iJT+6n4e;4tj9TSvF@Sk;Cev$_;ILaUOJ1^Ewf@1)9zC&@3b z87kRspeEnO2Y;LLaGfZzG`WIKVy%A`p1I|H1y4*-Z4F5(tRI((ys; zKZT)uJCg_BdS*YJ<_~T$9$e2`7TAW4STCh1Vc(&jj#La|Pbc8jB4 z97KVEC`A@fiWEVJg`yN8fe0j_N(drdihv+eV`LDd%m_*+bRjB;0Yg<#R3ZcnDorU- zK_Ey7nZ(&2yR&Dnv2Hf zMo!pn$;n52r`9|fU>3bd3nE3-+pGrsv_e|5sc=B5#j7=Vlm?Df(QAIbq)wsf-OFT1 z#TJStDtspHhQ>?TwzZH>6o1IN*mh*FGC(7GHsUdSuf=tdJc$fjpH0wDGI}s#F2QZW zDiJn4URAlqe_bY2$`ZQ^`lPO=o#-iMUmpHh%4l*~%w6_D_5!L1H|57XQWN5US+^U) zmG<=>wOG|u-|CwXY>0HTbPRXtuUBrOUF}`D7+g^FvXZ*Exb1}XzR@<+1F|($;d7izCHx$8c;;`=(9e zP8ps{2C1#^=?_aq@@?Tu<6iS++mjBAN6;@B*1B3s9NphAnt-=7Ii58Ty>p;mXep(H zLSI}9REYFx5wJa8O&?v`mLL7gOwiP|mu}031gn7Z5*=AR>W1irujTLEr{%%=>nww# z^|B+4(Bed=AJ~9HbOt?lmwQTmeyWU<9#L72I<@i*3HwAr-mJ84Q>}kUZ)*+@BP>s8 zH3nY$S?X{(+FI<1zAdyY{Mzi27RmM2cUY^_TZwlfTMG581K-8V&9anBI(&8Nzsmh<9ESr3*D$(!Uz33(_>Dh#?ZI+7Ik z)RN-YFOX%7nphbO<@2ZmW_UhwbzoZK*J;{{jgk(vlM-4X3%0ZgoyD|}F2fMTQuh63aIn=m6-Q8ozUXiB!79om zN2xZo7Fek1THRsfs#z5*Pq+1)N6yh!8)Jb!fQQl5^K)y zZN2f>8yuBmS9bP)yB}OM7DzW@&Ygvo)9Qx}uqNpmj-Om4j+pn}J@XdrsR2!C-hk-1 zS7(cjlN6neZ7NPD|F(KLX~ACV(&0P$LZKBOolgi`%gv?XgI`HtwOzw`+x}1px&S&I|F(t>CoMJhw5V3*Vx!fsYkzAe;>> zAz49N&$b%GnQ%7HTErS*1KshfB&OL$H|*QJOxgy1YO<1b`pu@F->I657ui7lhSr-{ z1c}!9dbdL00^<`OK{fut`+KaSG>h6yHc(2{wOg4<+mf(4-%G%OXXw`Z0S|ho=1WA;Z z0+fN$&LV+?)J}O09($=w`8TNcPqEXidGs)yv9Qwn=uvBlSA;T`i7O%j%HuL|Eii6f z=`hc=uFn1E0(k@PEiF74q8aWAE5IPqYhW#kIW4{ZFU)-3%W0mh!!jYG-oVjSe_LcZ643z$SK+dV<=+0!$=X7t5Xl#pOHQ+V_M)95Ng#c4fMQGlGTgsTTw=9`6U zh!RY5{L&dvk`?s_X0oGqmu=OpuBRX3Od&dMrB7YbMR`#OL^u9(4^cP1FGAdSMX$AF zD((%v&csus(lO^Xi?r>k?#Q8FSmg~4l#LV=@D&rveYTz*&_FP|fg5_~@ftshBx(`G zs*kcTPH!c#$4A=-3%VSHL#`5d^2*9Lwo^2GxPfqrrL{(vJqqDUc2Lxmi3F}>2f9rT zGGMw_X@?prS3?jICQe;K^$>Doqkq;lb9 zhvV?KOPum)sKd^L2*b~x?naa8XCjgJ6TZrhy+5Bexocg#GBs1&quv7-&JQo&r9mKi zLcCMcYV@@SvvrTXYR2Kvwpk5*kA1EEjK=@ErGDs%e-ojmm}$JXx>hATqJ5T2-jX%R zJ1w~@^Rm}#Ru(kgt*gqlZQQwb5ujApOplE?p!y2svZdS!Hbsp(`(&N!kp6i;>Korn z2RYt}BofYyf(Xv8{VjF3JG1n4AdoiL4Kq(qd(A4hhcC6Yu#v&ij#GbLrgk#v)h$Ln z)J8!sv5{fN5lL<(HbQW>{D|UIV%7HjCsO;nvV^+^qGEZK992vOJGcFoJK}u|K|A-f z7k74Ohe2cR+_6sMAImGD4U0C)l-(x6IY=bB!yRTfkut|HNm+iK&c#%iL&ufM1 zb<4d}s;P5xoD5}*kynMR{^+0Bo4x%wzWdb~NqneAaNy+Os}G~ufa@52tJyhD)rt); zL<{nEv*Kr4;yQajbf^1;mEaXI%wrer{wDg_#~*(3MxYB7`+{CO!5HAP=%Fw)5uW}+ zWi?|=0~NdH2c5m2Q#Do&AupK`maJQXZqJak0CXA7=DL`hNI_r66%kbN6d)KDHlRoZ-ZcW}yqyor8$$pukg#4xZTEgV5L%&Giqip_L*VX@L#Iva+Ng=M= zuf#W>Vv=;tH)~_gApvLsU>rCF3;;p^*#BG@*xuhu)EA2mJ*(yIA9@~(j@oYF9~Ou{ z4@HNe1?@aBK3-w`vLIQ0IWQm~1mY6{fra=u13@r19Us>WS(pz5=KD4UKzv|f@V6&{ z_&|L8Ab>Lg@e6>5w2yO6fx!ZT!b93a&tF*aapqj_VScG0Z65%|uZ;q8!Ua%JILp0c VCM68~VY1A5J;0nZ{vCoIwHU2%N$3Co literal 0 HcmV?d00001